Tags
Language
Tags
March 2024
Su Mo Tu We Th Fr Sa
25 26 27 28 29 1 2
3 4 5 6 7 8 9
10 11 12 13 14 15 16
17 18 19 20 21 22 23
24 25 26 27 28 29 30
31 1 2 3 4 5 6

Aldec Riviera-PRO 2008.10

Posted By: voletudo
Aldec Riviera-PRO 2008.10

Aldec Riviera-PRO 2008.10 | 141 MB

Riviera-PRO is a high-performance verification platform for ASIC and FPGA design teams, equipped with mixed-language simulation engine and advanced debugging tools. Riviera-PRO supports Electronic System Level (ESL) Verification with SystemC and SystemVerilog, Assertions Based Verification (ABV), Transaction Level Modeling (TLM) and VHDL/Verilog Linting. Riviera-PRO works in command line mode for maximum speed and is also equipped with a powerful GUI for enhanced editing, tracing, and debugging.

* Common-Kernel VHDL, Verilog, SystemVerilog, SystemC/C/C++, EDIF Simulator
* 64-Bit Multi-Threaded Design Environment
* Script compatible with other HDL simulators
* Unified HDL/SystemC code level Debugging & Post Simulation Debugging
* Accelerated Waveform Viewer and Code Coverage
* SystemVerilog, PSL and OVA Assertions and Functional Coverage
* VHDL and Verilog Code Linting
* DSP algorithm design/co verification with MATLAB® and Simulink®
* Multi-Platform (32/64bit Linux®, Windows®)